Welcome![Sign In][Sign Up]
Location:
Search - lcd VHDL 1602

Search list

[VHDL-FPGA-Verilog200622810562278741

Description: 基与vhdl语言实现1602LCM液晶显示屏的驱动函数和实例-Base with the VHDL language 1602LCM LCD driver functions and examples
Platform: | Size: 15360 | Author: lele | Hits:

[VHDL-FPGA-VerilogLCD

Description: vhdl经典源代码——LCD控制,入门者必须掌握-vhdl classical source code-- LCD control, beginners must master
Platform: | Size: 256000 | Author: jeffery | Hits:

[SCMS9_LCD1602

Description: 这是一个用VHDL语言写的LCD程序,希望对大家有所帮助-This is a VHDL language used to write the LCD process, and they hope to help everyone
Platform: | Size: 545792 | Author: 张侨 | Hits:

[Other systemsfpga+1602

Description: 本程序用VHDL语言编程实现FPGA对点阵液晶1602的驱动 -This procedure using VHDL language programming FPGA to realize the 1602 dot-matrix LCD driver
Platform: | Size: 1024 | Author: pdu | Hits:

[SCMlcd_vhdl2

Description: 用VHDL来实现LCD1602的驱动(实验过)-Use VHDL to achieve LCD1602 driver (experimental too)
Platform: | Size: 1024 | Author: 唐小勇 | Hits:

[VHDL-FPGA-Verilogled_vhdl

Description: LCD点阵阵控制,可输出不同的图形和位置.可随意调整显示格式.-LCD bursts of control points can be output in different graphics and location. Can easily adjust display format.
Platform: | Size: 2048 | Author: 王晶 | Hits:

[VHDL-FPGA-Veriloglcd

Description: 利用VHDL语言编写,在lcd上显示计数.-Using VHDL language, in the lcd display count.
Platform: | Size: 3072 | Author: mao | Hits:

[SCMlcd_control

Description: LCD的驱动显示程序,在SP3实验板上实现.-LCD driver display program, in the experimental board realize SP3.
Platform: | Size: 2048 | Author: mao | Hits:

[VHDL-FPGA-VerilogLCDVHDL

Description: LCD控制VHDL程序与仿真程序代码很有价值-LCD control procedures and simulation of VHDL code valuable
Platform: | Size: 4096 | Author: 黄康 | Hits:

[VHDL-FPGA-VerilogS4_LCD_V

Description: 我买的红色飓风FPGA,EP1C6开发板的配套USBA实验例程 LCD模块的程序-I bought a red hurricane FPGA, EP1C6 development board supporting LCD module routines USBA experimental procedures
Platform: | Size: 930816 | Author: 孙建军 | Hits:

[OtherVGALCD

Description: lcd控制器的源程序,可以随便使用,免费试用。不多描述。-lcd controller source code, you can not use, free trial. Not much to describe.
Platform: | Size: 494592 | Author: 刘源 | Hits:

[VHDL-FPGA-VerilogLCD

Description: 通过VHDL写的128*32液晶驱动接口。-Through VHDL to write 128* 32 LCD driver interface.
Platform: | Size: 158720 | Author: sunhao | Hits:

[Waveletsingt

Description: 实现了方波、正弦波、三角波的输出,同时在LCD模块中用状态机的方法实现LCD的对应显示:当输出正弦波,LCD显示“SIN”;当输出方波,LCD显示“REC”;当输出三角波,显示“TRI”;复位和其它位置波形显示“UNI”。-Realize a square wave, sine wave, triangle wave output, while in the LCD module using the state machine approach to achieve the corresponding LCD display: When the output sine wave, LCD display
Platform: | Size: 1062912 | Author: Emma | Hits:

[VHDL-FPGA-Verilog12864

Description: 用VHDL 语言驱动DM128*64LCD程序-Using VHDL language driver DM128* 64LCD procedures
Platform: | Size: 1024 | Author: wang | Hits:

[VHDL-FPGA-Verilog16X2-LCD-FPGA

Description: 16X2液晶显示屏的FPGA显示驱动设计。-16x2 LCD display driver design of the FPGA.
Platform: | Size: 400384 | Author: lixu | Hits:

[SCM1602LCD

Description: 用EPM1270实现的1602液晶驱动Verilog-EPM1270 achieved by 1602 LCD driver Verilog
Platform: | Size: 295936 | Author: cx | Hits:

[Windows Developlcd

Description: 使用PS2接口的键盘的小键盘输入,在12864液晶上显示出来,使用平台为CPLD或FPGA-PS2 keyboard interface to use a small keyboard input, in the 12864 liquid crystal display, use the platform for the CPLD or FPGA
Platform: | Size: 1053696 | Author: luojicheng | Hits:

[Other Embeded programlcd_1602

Description: 1602 LCD控制的verilog代码,学习的好东西-1602 LCD control Verilog code, learning good things
Platform: | Size: 53248 | Author: sdffer343 | Hits:

[VHDL-FPGA-Veriloglcd_disp

Description: lcd字符显示,已经在Spartan3e板子上验证通过了,初学者可以下来-lcd character display, has been verified by Spartan3e on board, and beginners can take a look down
Platform: | Size: 48372736 | Author: 王宇 | Hits:

[Other1602LCD

Description: 一个关于显示器实现的VHDL源代码,已测试过,可以运行-A character display on the VHDL source code has been tested, you can run
Platform: | Size: 6144 | Author: wwy | Hits:
« 12 3 »

CodeBus www.codebus.net